утверждение Verilog: антецедент импликации никогда не выполнялся

     property p_no_glitch;
    logic data;
        @(in[i]) disable iff (!rst_n)
            (1, data = !in[i]) |=>
        @(posedge clk)
            (in[i] == data);
endproperty : p_no_glitch
CHECK_GLITCH : assert property(p_no_glitch) else $error("%m p_no_glitch");

Если модуль отключен, я получаю следующее сообщение:

Предшественник импликации никогда не выполняется

есть ли флаг (или любой другой способ) для отключения вышеуказанного сообщения?


person Meir    schedule 09.10.2013    source источник
comment
Какой инструмент вы используете?   -  person dwikle    schedule 09.10.2013
comment
@dwikle Я использую VCS   -  person Meir    schedule 09.10.2013
comment
Является ли i genvar или константой, отличной от X?   -  person Greg    schedule 09.10.2013


Ответы (1)


путь в VCS - -assert quiet+quiet1+nopostproc. Конечно, было бы лучше, если бы «владелец» SVA добавил что-то вроде disable iff (!rst_n or !en)

person Meir    schedule 30.10.2013