Библиотеки Вивадо

Я пытаюсь создать библиотеку компонентов, которую я могу использовать в Vivado (2014.2). У меня много файлов .vhd, и я хотел бы добавить больше в будущем, поэтому я бы предпочел не объединять их все в один .vhd.

Мне может понадобиться использовать пакет... т.е.

--File name: my_library_file
package my_lib_package is 
--All component declarations...
end package my_lib_package;

Но должны ли все сущности и архитектуры также находиться в этом файле?

Затем я мог бы использовать оператор «использовать» для ссылки на эти элементы. т.е. используйте my_lib. Но должен ли файл my_library_file находиться в том же проекте?

Я хотел бы иметь возможность сделать эту библиотеку один раз и иметь возможность ссылаться в любом проекте с вызовом.

В идеале ее можно было бы назвать библиотекой IEEE, но со многими ссылками на VHD-файлы.

Я бы предпочел явно не добавлять/включать эти отдельные исходные файлы в проект, а вместо этого предпочел бы просто использовать предложение «использовать» с библиотекой и/или пакетом… если это возможно.


person Hayden DeBoer    schedule 30.03.2015    source источник
comment
Наши ответы на EE.SE недостаточно хороши? Если да, дополните свой вопрос или напишите комментарии.   -  person Paebbels    schedule 31.03.2015
comment
Я до сих пор не смог решить свою проблему. Если у меня есть собранный пакет, я не понимаю, как я могу настроить его так, чтобы он работал в Vivado, library my_library; используйте my_Library.package.all; Active-HDL позволяет подключать библиотеки в их менеджере библиотек, после чего компоненты становятся доступными. Меня интересует, есть ли аналог в Vivado.   -  person Hayden DeBoer    schedule 10.04.2015


Ответы (1)


Хейден, твой подход к созданию собственного пакета компонентов звучит неплохо. Мы используем тот же подход с одним пакетным файлом «comps». Он написан как пакет и имеет экземпляр компонента, а также сущность и архитектуру каждого компонента. Посмотрите на любой из исходных файлов библиотеки Xilinx или Altera в качестве руководства.

Вы можете скомпилировать его в собственную библиотеку и вызывать так же, как и библиотеки Xilinx в Vivado.

person lsf_design    schedule 31.03.2015