property prop1;
@(posedge clk)
$fell(sig1) ##1 sequence1 |-> sequence2;
endproperty
Я хочу отключить свойство iff sig1=1'b1
после первого такта.
Переход от высокого уровня к низкому на sig1
является моим условием срабатывания. Если я сделаю disable iff(sig1)
условие срабатывания не будет выполнено.
Также использование throughout
невозможно как для разрешающих, так и для удовлетворяющих последовательностей в формальных верификаторах.
Как мне это сделать? Спасибо!
disable iff (sig1);
? - person sharvil111   schedule 12.05.2016$fell(sig1) |=> sequence1 |-> sequence2;
- person sharvil111   schedule 12.05.2016