Я пытался скомпилировать код
module counter(
input clk,
input upSignal,
input downSignal,
output [7:0] count
);
always_ff @(posedge clk) begin
if (upSignal)
count <= count + 1;
else if (downSignal)
count <= count - 1;
end
endmodule
но я получаю ошибку
Error (10170): Verilog HDL syntax error at counter.v(7) near text "@"; expecting ".", or "("
что это означает?
always_ff
является ключевым словом в SystemVerilog, но не в Verilog-2001. Проверьте документацию по программному обеспечению, чтобы узнать, нужно ли вам включить поддержку SystemVerilog. Если вы запускаете его из командной строки, это может быть что-то вроде-sv
или подобное. - person Marty   schedule 26.10.2010