компилировать и моделировать UVM TB в Modelsim 10.4b

Я новичок в использовании UVM в modelsim 10.4b. Я пытаюсь проверить, компилируется ли мой класс, но получаю следующую ошибку компиляции. Кроме того, если кто-нибудь может указать мне на поток выполнения UVM, это было бы очень полезно. Я искал на интернет-ресурсах.

class fifo_trans_item extends uvm_sequence_item;

    rand bit [15:0] data_in;
    rand bit push;
    rand bit pop;
    rand bit w_en;
    rand bit r_en;

endclass

Это ошибка, которую я получаю. Если это проблема, связанная с инструментом, перенаправьте меня на форум, чтобы задать этот вопрос.

Loading project MIPS
# reading modelsim.ini
# Loading project UVM_tb
# UVM Details: design does not contain any  UVM components, UVM debugging is disabled, or an  unknown questa_uvm_pkg has been loaded.
# Compile of sequence.sv failed with 2 errors.

** Error: (vlog-13069) /afs/asu.edu/users/s/m/u/smukerji/sequence.sv(1): near "uvm_sequence_item": syntax error, unexpected IDENTIFIER.
** Error: /afs/asu.edu/users/s/m/u/smukerji/sequence.sv(1): Error in class extension specification.

person Shankhadeep Mukerji    schedule 01.11.2016    source источник


Ответы (1)


Тебе нужно

import uvm_pkg::*;
`include "uvm_macros.svh"

в каждом файле, который ссылается на библиотеку базовых классов UVM и макросы

person dave_59    schedule 02.11.2016
comment
Спасибо. Таким образом, кроме этого, поток симуляции такой же, как и запуск файла .v или .sv, например, компиляция, запуск симуляции, загрузка библиотеки, добавление в волну и т. д.? - person Shankhadeep Mukerji; 02.11.2016
comment
Правильный. Modelsim/Questa поставляется с предварительно скомпилированной библиотекой UVM и автоматически добавляет +incdir к файлу макросов. - person dave_59; 02.11.2016