Как сделать так, чтобы сообщения $display отображались в консоли SimVision

Мне нужно использовать программный пакет Cadence для выполнения задания класса Verilog, и я хотел бы знать, почему операторы $display в очень простой тестовой среде, которую я создал, не выводят вывод в окно консоли SimVision.

Мой рабочий процесс выглядит следующим образом: я создал ячейку со следующим функциональным представлением:

module tesbench ( );
  initial begin
    $display("RUNNING TESTBENCH");
    $finish;
  end
endmodule

Затем я вызвал NC-Verilog, инициализировал и внес в список соединений и смоделировал ячейку, которая открывает окно консоли SimVision. Запуск моделирования затем производит следующий вывод:

ncsim> run
Simulation complete via $finish(1) at time 0 FS + 0
/home/path/to/verilog/file.v:4      $finish;
ncsim> 

Таким образом, вывод $display не отображается. Это кажется очень простой проблемой, но я не могу понять, что я делаю неправильно.


person Peter    schedule 02.09.2017    source источник
comment
Это не помогло, я новичок как в Verilog, так и в Cadence, поэтому я не уверен, проблема ли это в коде или в том, что я неправильно использую программный пакет.   -  person Peter    schedule 03.09.2017
comment
Так что это «работает для меня» с SimVision. Какую версию вы используете и как вы вызываете инструмент?   -  person Brian Magnuson    schedule 03.09.2017
comment
Я также могу распечатать сообщение. Какую версию вы используете?   -  person Karan Shah    schedule 04.09.2017
comment
Извините за поздний ответ, я использую SimVision 14.10-s004. Я просто запускаю инструмент из главного окна Virtuoso через Tools->NC-Verilog, а затем нажимаю Run, Generate Netlist и Simulate (Interactive со всеми выбранными параметрами). Затем я запускаю команду запуска в окне консоли SimVision. Честно говоря, я бы предпочел делать все это с терминала, но трудно найти правильные руководства по этим инструментам.   -  person Peter    schedule 06.09.2017


Ответы (1)


Итак, я только что смог поговорить с инструктором, и он сказал мне, что это известная проблема с установкой и что в настоящее время нет обходного пути (программы работают на сервере, администрируемом университетом).

person Peter    schedule 06.09.2017