Компиляция Verilog HDL в Quartus

Я использую Quartus для моделирования и анализа кода Verilog. Я искал в Интернете и Stack Overflow, но, похоже, не нашел ответов на свой вопрос.

Я хочу запустить RTL Viewer с кодом Verilog, который я открываю в Quartus, но когда я открываю сам код Verilog, компиляция и другие параметры неактивны. Я заметил, что когда я открываю файл QPF, кажется, что он работает.

Нужно ли мне создавать файл QPF, чтобы использовать RTL Viewer? И если да, то как я могу это сделать с имеющимся у меня кодом Verilog?


person Seankala    schedule 08.11.2017    source источник


Ответы (1)


Чтобы использовать RTL Viewer, вам необходимо запустить хотя бы Analysis & Elaboration задачу в программе Quartus. Эта задача генерирует список соединений до синтеза на основе вашей логики (т.е. кода) (сравните: Intel® Quartus® Prime Standard Edition Handbook, стр. 791)), который используется программой RTL Viewer. Вы не сможете выполнить эту задачу, если не создали Quartus Project.

Файл QPF - это просто файл проекта Quartus. Вы можете создать новый проект и просто добавить код, который вы создали ранее. Просто нажмите Project > Add/Remove Files in Project.

person Qiu    schedule 08.11.2017