Проблема анализа VHDL с GHDL

Я написал некоторый код VHDL, который содержит реализацию половинного сумматора. Это несколько строк кода и не содержит ошибок.

Когда я компилирую его с помощью ghdl, он создает файл .o для соответствующего файла vhdl. Но когда я выполняю ghdl -e filename, возникает следующая ошибка:

*error: cannot find entity or configuration demo*  
*/usr/lib/ghdl/bin/ghdl: compilation error*

Кто-нибудь может помочь? заранее спасибо


person Quamar    schedule 17.09.2011    source источник


Ответы (1)


Команда ghdl -e принимает имя сущности вместо имени файла.

У меня случайно завалялся пример ghdl. У него есть Makefile, поэтому просто введите make, чтобы он скомпилировался, после чего вы можете запустить tb_adder: http://kapsi.fi/~jpa/stuff/other/ghdl-example.tar.gz

person jpa    schedule 17.09.2011