Как записать реальный тип данных в файл на VHDL?

У меня есть тип матрицы 2D в моем коде VHDL, где все элементы являются «реальными» типами.

Что-то вроде этого:

type type1 is array (1 to 50,1 to 50) of real;

Теперь я хочу записать всю эту матрицу в текстовый файл. Каждая строка должна быть записана в строке, разделенной запятой. Как я могу это сделать?

Я просмотрел пакет textio, но не знаю, как их использовать для реального типа данных.

Есть ли какой-нибудь индивидуальный пакет для этого?


person vipin    schedule 24.10.2011    source источник


Ответы (2)


Начать использовать текстио

use textio.all;

пара полезных переменных:

    variable s : line;
    file output : text;

основной код выглядит примерно так:

    for y in image1'range(2) loop
      for x in image1'range(1) loop
        write(s,real'image(image1(x,y));
      end loop;
      writeline(output,s);
    end loop;

Я оставлю вас, чтобы добавить разделители запятых и любой верхний/нижний колонтитул, который вам нужен.

person Martin Thompson    schedule 24.10.2011

Вы проверили real'image(value) для получения строкового представления реального значения?

person mouviciel    schedule 24.10.2011
comment
Предположим, сигнал image1 : type1; Тогда не могли бы вы показать мне простой пример с использованием вышеуказанного атрибута? - person vipin; 24.10.2011