Вопросы по теме 'alu'

Как процессор выполняет вычитание?
У меня есть некоторые основные сомнения, но каждый раз, когда я сажусь, чтобы попробовать свои силы в вопросах интервью, эти вопросы и мои сомнения всплывают. Скажем, А = 5, В = -2. Предполагая, что A и B являются 4-байтовыми, как ЦП выполняет...
23091 просмотров
schedule 22.07.2023

VHDL ALU неопределенное значение
Я изучаю VHDL для программирования FPGA, базовых (но сложных для меня) проектов. У меня есть этот АЛУ. Предполагается, что это 4-битный ALU. Но когда я хочу выполнить операцию Добавить , значение result равно UUUU . Для всех остальных операций...
3102 просмотров
schedule 10.12.2022

Как обрабатывать перенос с помощью 1-битного ALU для создания 4-битного ALU в VHDL
Мне нужно создать 1-битное ALU, а затем использовать его для создания 4-битного ALU. Но у меня много проблем при работе с переносом. 4-битное АЛУ может иметь только 2 строки выбора, и перенос в нем использует перенос для генерации 8 различных...
698 просмотров
schedule 19.06.2022

Не удается определить определение оператора + при разработке 16-битного ALU.
Я разрабатываю 16-битный ALU, который выполняет несколько операций. У меня есть синтаксическая ошибка: Не удается определить определение оператора +. Следующий код выполняет сложение со знаком и без знака, а также операцию вычитания и сдвига....
5729 просмотров

Мой код VHDL ALU ведет себя неловко
У меня проблема с кодом VHDL ALU. Мне нужно сделать простое АЛУ с 4 операциями с 4-битными операндами. Я правильно реализовал эти операции, и они работают хорошо. Для выполнения я использую плату E2LP. Для выбора операции я выбрал 4 кнопки JOY, по...
148 просмотров
schedule 20.06.2023

создание ALU в Verilog
Мне было интересно, возможно ли иметь операторы if, поэтому для ALU я пытаюсь построить. Я передаю значения из испытательного стенда пути данных в путь данных, из пути данных в АЛУ и из АЛУ обратно в путь данных. Я пытаюсь создать блок управления,...
1077 просмотров

Вызывающие модули поведенческого кодирования Verilog HDL для ALU
Это мой первый раз, когда я программирую на verilog hdl, и мне сложно понять, что не так с моим кодом. Мне нужно разработать простой ALU в поведенческом коде. Пока что я создал модуль вычитания и сумматора (мне нужно добавить больше модулей, но я...
3035 просмотров
schedule 02.04.2023

Почему код операции ALU для lw и sw равен 00?
Я пытаюсь понять пути данных и то, как это работает в программировании MIPS. Часть занижения заключается в понимании кодов операций ALU, которые, по сути, сообщают ALU, какие операции выполнять. Например, если мы реализуем базовые функции AND, OR,...
614 просмотров
schedule 18.02.2023