Вопросы по теме 'qsys'

Редактировать верхний компонент verilog, созданный Qsys
Можно ли модифицировать Verilog, сгенерированный Qsys, до синтеза Quartus? Я разработал компонент под Qsys. Я добавил файл design.qsys в свой проект Quartus (14.0) и выбрал его как «верхнего уровня». Qsys сгенерирует верхний компонент verilog с...
591 просмотров
schedule 22.10.2022

Используйте DMA Transfert с Cyclone V Avalon-MM для PCIe
Возможна ли передача DMA с IP-ядром «Циклон В Авалон-ММ для PCIe» предоставлено altera в Qsys (quartus 14.0)? Altera предоставляет IP-ядро с именем «Cyclone V Avalon-MM DMA for PCIe» сделать DMA Transfert. Но это ip-ядро не поддерживает PCIe...
2159 просмотров
schedule 19.01.2024

Перечисление объектов во всех библиотеках внутри QSYS.LIB
Практически исчерпывающая информация о таблицах ( PF , включая PF-SRC , LF и т. д.) находится в QSYS2.SYSTABLES . Однако когда дело доходит до перечисления всех объектов (например, включая PGM , SRVPGM , DTAQ , DTAARA и т. д.) в...
642 просмотров
schedule 18.06.2023