Вопросы по теме 'register-transfer-level'

Не удается определить определение оператора + при разработке 16-битного ALU.
Я разрабатываю 16-битный ALU, который выполняет несколько операций. У меня есть синтаксическая ошибка: Не удается определить определение оператора +. Следующий код выполняет сложение со знаком и без знака, а также операцию вычитания и сдвига....
5729 просмотров

Поведенческие алгоритмы (GCD) в Verilog - возможно?
Я хочу написать модуль для вычислений GCD, используя расширенный алгоритм Евклида. Но главная проблема в том, что я совершенно не знаю, как это сделать, не дойдя до самого нижнего (RTL) уровня. Я имею в виду, что автомат будет иметь три состояния:...
5318 просмотров
schedule 12.12.2022

Протокол MESI в согласовании кеша
У меня вопрос по протоколу МЭСИ. (1) Рассмотрим следующий фрагмент кода, работающий в однопроцессорной системе, реализующей протокол когерентности кэша MESI: I1: загрузить $s1, [A] I2: загрузить $s2, [B] I3: добавить $s1, $s2, $s3 I4: сохранить...
323 просмотров

Передача имени модуля в качестве параметра
Я хочу создать эту общую оболочку для набора модулей, которые я пишу. Оболочка должна обеспечивать возможность подключения этих модулей к различным типам NoC без необходимости изменять поведение внутренних модулей. Я думал, что один из способов...
2322 просмотров

Verilog: Создание экземпляра блока памяти
Я использовал следующий код для создания двумерной памяти в verilog. reg [15:0] data_pattern_even [3:0] = {16'hFFFF,16'hFFFF,16'hFFFF,16'hFFFF}; reg [15:0] data_pattern_ev [3:0] = {16'hFFFF,16'hFFFF,16'hFFFF,16'hFFFF}; Этот экземпляр...
3008 просмотров
schedule 30.10.2022

Оператор мощности в долоте
Я пытаюсь найти эквивалент оператора мощности Verilog ** в Chisel. Я просмотрел шпаргалку по Chisel и руководство , но я не нашел то, что искал. Изучив проекты, написанные на Chisel, я обнаружил, что функции log2xx являются популярным выбором,...
377 просмотров
schedule 30.07.2022

Как получить статус собственности в РТЛ
В некоторых сценариях ошибка внедрения приведет к сбою утверждения. поэтому я передаю переключатель, чтобы отключить это утверждение с помощью $testplusargs. Есть ли способ получить статус свойства (пустой истинный, реальный истинный или ошибочный)...
48 просмотров

typedef на основе параметров в системе Verilog
Я хотел бы иметь параметризованную структуру typedef в System Verilog. Например, могу ли я параметризовать typedef для сложных типов данных вместо отдельных определений для 8- и 16-битных сложных данных? typedef struct {logic [7:0] i, q;}...
534 просмотров