Вопросы по теме 'synopsys-vcs'

Как исправить ошибку [ICPSD] Неверная комбинация драйверов?
Я пытаюсь отлаживать свой код, показанный ниже. Я новичок в SystemVerilog и, надеюсь, смогу извлечь из этого уроки. Дайте мне знать о любых предложениях. ** Ошибки, которые я получаю: Error-[ICPSD] Invalid combination of drivers Variable...
4503 просмотров
schedule 11.04.2023

Неубедительное утверждение в Synopsys VC Formal
2 вопроса - В формальной проверке на основе утверждений, если я получаю неубедительное утверждение, то каковы различные подходы к обработке этого утверждения или его сведению? Является ли правильным подходом разработать эталонный rtl и написать...
361 просмотров
schedule 13.10.2022