Вопросы по теме 'system-verilog-dpi'

System Verilog DPI - неизвестный размер массива
У меня такой код: file.sv module svtest(); import "DPI-C" function void my_func(output bit [31:0] id, input bit [31:0] size); bit [31:0] my_id; bit [31:0] my_size; initial begin my_size = 1 << 30;...
1080 просмотров
schedule 08.08.2023

Как я могу передавать данные между SV и С++ в двух направлениях через открытый массив с функцией импорта DPI
Моя цель - заполнить открытый массив с помощью С++. Стадия следующая. 1. SV: определите размер неупакованного массива и отправьте его через открытый массив в функции импорта. 2. C++: Заполните открытый массив. 3. SV: Используйте массив. Для...
1256 просмотров

Динамические массивы в Struct в DPI-C
Я хочу использовать динамический массив внутри структуры, которую я передаю на C с помощью DPI. Как мне реализовать это на стороне C. Я попытался использовать svOpenArrayHandle внутри структуры, но все еще не работал. Пример: Struct a {...
250 просмотров