Публикации по теме 'systemc'


Моделирование фильтра нижних частот с помощью SystemC-AMS
В предыдущей статье я дал краткий обзор SystemC-AMS и того, как он полезен для прототипирования аналоговых и смешанных систем. В этой статье я рассмотрю пример разработки фильтра нижних частот с использованием SystemC-AMS.

Вопросы по теме 'systemc'

Можно ли использовать типы данных System C в C++ без всего ядра System C?
Система C предоставляет целочисленные типы произвольной длины, которыми можно манипулировать либо как числами (т. е. с поддержкой арифметики), либо как битовыми векторами (т. е. с поддержкой логических операций и работой с подвекторами). System C...
330 просмотров
schedule 18.08.2022

Проблемы с обработкой значений sc_logic в SystemC
Я пишу приложение для имитации аппаратной системы с использованием библиотек SystemC. Моя проблема заключается в обработке типа sc_logic . Рассмотрим простой модуль SC_MODULE(MyModule) { sc_in_clk clk; sc_out<sc_logic> outsig;...
728 просмотров
schedule 04.11.2022

Преобразование из SystemC в VHDL или Verilog
Я разработал схему, используя библиотеку RTL SystemC. Эта схема работает нормально, и я могу правильно смоделировать ее. Теперь я хочу развернуть его в FPGA и ищу способ преобразовать мой код SystemC в VHDL или Verilog, чтобы использовать его в...
1821 просмотров
schedule 23.04.2023

Почему в SystemC нельзя поставить ожидание в SC_METHOD?
Я просматривал какой-то учебник по SystemC, и там было упоминание, что мы не можем поставить ожидание в SC_METHOD, это не объясняло, почему.
3841 просмотров
schedule 04.01.2023

Ошибка: выходной файл ./.noxim_explorer.tmp поврежден, инструмент noxim
Я использую симулятор SystemC и Network-on-chip под названием «Noxim», который, как я слышал, очень гладкий и простой. запустить make не проблема Однако я получаю сообщение об ошибке при запуске ./noxim_explorer sim.cfg...
427 просмотров
schedule 16.10.2022

Ошибка Accellera SystemC с большим количеством SC_THREAD
В контексте моделирования SystemC со многими процессами SC_THREAD (> 32000) я столкнулся со следующей ошибкой с реализацией Accellera 2.3.1 на платформе Intel X86 под управлением Ubuntu 15.04: sc_cor_qt.cpp:114: virtual void...
411 просмотров
schedule 26.05.2022

SystemC: Как я могу отслеживать все сигналы в иерархии модулей?
Я хочу проследить все сигналы в моем дизайне до файла VCD. Можно ли автоматизировать этот процесс? Я не хочу добавлять каждый сигнал для трассировки вручную (с помощью sc_trace (..))
1049 просмотров
schedule 24.06.2023

запрос на «запись» члена в «chol_out_data», который не относится к классу
Мой файл cholesky.cpp: #include "chol.h" #include <math.h> //Main Thread void chol::chol_main () { // Variable declaration sc_fixed<16,10, SC_TRN, SC_WRAP> chol_output[3][3]; sc_fixed<16,10, SC_TRN, SC_WRAP>...
59 просмотров
schedule 11.11.2022

Нуждается ли модульное тестирование SystemC в разветвлении?
Я начинаю с использования SystemC и изучаю пример, упомянутый в Использование существующих фреймворков модульного тестирования с СистемаC Я не очень понимаю, зачем нужен форк, особенно когда один форк только ждет другого. Есть ли что-то в ядре,...
178 просмотров
schedule 01.04.2023

Ошибка: (E107) сбой привязки интерфейса к порту: интерфейс уже привязан к порту: порт 'MC8051_ALU().AM.port_27' (sc_in)
привет я новичок в systemc. Мне поставлена ​​задача подключить все нижние модули алюминиевого сплава 8051 (т.е. addsub, mulliplier, делитель). Я написал код, который компилируется без ошибок, но когда я запускаю объектный файл, я получаю следующую...
727 просмотров
schedule 03.09.2022

Можно ли получить атрибуты sc_fix, которые были переданы в функцию?
Довольно новичок в systemc, я читал документацию и знаю, что тип sc_fix имеет атрибуты для длины слова и целочисленной длины. Можно ли вытащить эти атрибуты? Кажется, я не могу найти упоминания о какой-либо функции, которая возвращала бы, скажем,...
59 просмотров
schedule 23.11.2022

Как получить размер стека потока systemc?
Есть ли API SystemC, который получает текущий размер стека потоков SC? Я знаю, что вы можете установить размер стека с помощью set_stack_size и sc_spawn_options . Но не могу найти API для его получения.
177 просмотров
schedule 04.06.2024

Порт не привязан SystemC (E112)
Я пытаюсь реализовать производителя (мастера), обращающегося к элементу памяти (ведомому) через контроллер памяти (который реализует интерфейс simple_mem_interface). Примечание. Некоторые сведения о функциях и операторы include не полностью...
291 просмотров
schedule 30.08.2022

SystemC не может использовать операторы +- в Visual Studio 2019
введите здесь описание изображения Я пытаюсь построить счетчик, когда сигнал "dec1" высокий, 8-битный целочисленный счетчик без знака уменьшится на 1. Я использую Visual sidio 2019 для соответствия файлу counter.cpp, и «Hello worlds». cpp успешно...
46 просмотров
schedule 06.01.2024

У меня возникла ошибка при настройке systemC2.3.3 с Visual Studio 2017
как я указал в заголовке, у меня возникла проблема с настройкой systemC на моем компьютере с Windows. Поэтому я точно следовал шаг за шагом по этой ссылке Установка SystemC для VS2013 , но все равно не удалось. Поэтому я компилирую свою картинку...
41 просмотров
schedule 09.07.2022