Публикации по теме 'xilinx'


Хроники MicroZed: мультизагрузка FPGA
На прошлой неделе «мы исследовали, как мы можем обновить дизайн Zynq в полевых условиях и использовать MultiBoot, чтобы обеспечить наличие золотого образа в случае сбоя обновления. На этой неделе мы собираемся изучить, как мы можем сделать то же самое, используя стандартную ПЛИС. В этом примере мы будем использовать устройство Artix-A7 100T с дизайном MicroBlaze. Программа, выполняемая MicroBlaze, будет отличаться в зависимости от изображения. Опять же, мы будем создавать два..

MicroZed Chronicles: Процессор глубокого обучения
Несколько недель назад мы рассмотрели Xilinx Комплект для разработки глубокой нейронной сети и фреймворк DNNDK. В этом блоге мы подробно рассмотрим элемент, лежащий в основе DNNDK, - это процессор глубокого обучения, или DPU, как его обычно называют. Использование DPU с DNNDK позволяет нам реализовать сверточные нейронные сети (CNN) в наших решениях Zynq и Zynq MPSoC. DPU создается в программируемой логике и требует подключения как к процессору, так и к внешней памяти. Во внешней..

Хроники MicroZed: сочетание MicroBlaze и Zynq MPSoC
Процессоры Arm, доступные как в Zynq, так и в Zynq MPSoC, обеспечивают значительную вычислительную мощность для наших приложений. Конечно, благодаря программируемой логике, доступной в архитектуре Zynq/Zynq MPSoC, мы можем легко оптимизировать наше приложение для достижения наилучшей производительности. Одним из часто используемых подходов к повышению производительности является ускорение функций, требующих высокой производительности/интенсивных вычислений, в PL с использованием..

Вопросы по теме 'xilinx'

Устаревший чип Xilinx
Моя компания пытается построить печатную плату с устаревшей xilinx fpga (XC3042A), которая является частью чипов серии XC3000. У кого-нибудь есть опыт программирования данных на чип? Я ищу, какое программное обеспечение, оборудование и т. д. люди...
798 просмотров
schedule 15.08.2023

Как часто используются чипы xilinx?
Я начинаю изучать встроенный C (и, возможно, немного C++), и кто-то из офиса сказал, что готов пожертвовать бесплатный чип xilinx, который у них лежит на полке. Я больше думал об Arduino, тем более, что учебных пособий по Arduino и примеров проектов...
1672 просмотров
schedule 22.11.2022

сколько стоит чтение звука (АЦП) в 24 кГц?
сколько «звуковых входов» высокой точности (128K 44kH) можно сделать через обычные FPGA (Xilinx Spartan 3, что-то еще) без использования внешних преобразователей АЦП (только симметричный по напряжению вход, оптически взятый из аудиоразъема)? Здесь...
380 просмотров
schedule 03.10.2022

надежность вывода блочной оперативной памяти Xilinx ISE
У меня есть вопрос относительно надежности логического логического вывода Xilinx ISE. У меня не установлен xilinx ise на моей машине (сегодня), но я обычно отлично вывожу блочные блоки, используя специальное кодирование, в основном полагаясь на:...
1125 просмотров
schedule 14.01.2023

Ошибка во время генерации списка соединений в Simulink
Я пытался создать список соединений из простой модели в simulink. Я могу запустить симуляцию (используя sysgen). При попытке создать список соединений выдает ошибку: " * ОШИБКА * Произошли ошибки во время генерации списка...
1062 просмотров
schedule 16.06.2022

Уменьшите задержку, поняв отчет Xilinx Synthesis
Я программирую набор инструкций 8051 на VHDL в Xilinx. Написав логику и сгенерировав отчет о синтезе, я увидел, что Задержка составляет 13,330 нс (частота 75,020 МГц) при Уровнях логики = 10. Это значение довольно меньше (частота), и мне нужно его...
5829 просмотров
schedule 26.10.2022

VHDL Compare не работает в аппаратном обеспечении, но работает в моделировании
Привет, ребята, у меня есть следующий VHDL, который не делает то, что должен делать в аппаратном обеспечении, но работает в моделировании. В основном у меня есть счетчик, и в зависимости от счетчика я хочу, чтобы определенные данные выводились, я...
602 просмотров
schedule 24.02.2023

Печать целочисленного значения со знаком, хранящегося в переменной типа reg
Как напечатать целочисленное значение со знаком, хранящееся в 8-битном регистре, объявленном как: reg [7:0] acc; С использованием: $display("acc : %d", acc) Он печатает беззнаковое значение. Какой правильный синтаксис для функции...
17312 просмотров
schedule 30.08.2022

Verilog, FPGA, использование унифицированного регистра
У меня есть вопрос о том, что мне кажется странным в поведении контроллера AGC/SPI, над которым я работаю. Это сделано в Verilog для Xilinx Spartan 3e FPGA. Контроллер представляет собой FSM, который полагается на внешние входы для запуска....
2372 просмотров
schedule 19.12.2022

Расчет VHDL, другой, но все тот же
Трудно объяснить проблему в заголовке, поэтому, пожалуйста, читайте дальше. У меня есть проект, в котором мы реализуем фильтр Собеля. По началу изображение не работало как надо, с собелевскими расчетами Gx <= ("000" & p3-p1)+(("00"...
180 просмотров
schedule 14.07.2023

Перемещение данных между процессами в Spartan 3
У меня есть два процесса A и B, каждый со своим собственным тактовым входом. Тактовые частоты немного отличаются, поэтому и не синхронизированы. Процесс A берет данные из IC, эти данные необходимо передать процессу B, который затем должен...
1374 просмотров
schedule 07.05.2022

Почему «и» не может иметь такие операнды в этом контексте?
Я использую Xilinx и пытаюсь скомпилировать этот код. Я получаю эту ошибку: ОШИБКА:HDLParsers:808 - C:/Users/Dell/digi/sm.vhd Строка xx. и не может иметь таких операндов в этом контексте. код здесь
3791 просмотров
schedule 12.07.2022

Симулятор показывает неверный ввод
Я написал тестовую программу для программы на verilog. Странная проблема заключается в том, что симулятор показывает ввод, совершенно отличный от того, который я предоставил в качестве ввода. Таким образом, выход также влияет. Почему это...
162 просмотров
schedule 11.07.2022

Как сгенерировать волну sinc с помощью Verilog
Я делаю "Генератор сигналов произвольной формы" на ПЛИС. в настоящее время я работаю над созданием волны «sinc» с использованием FPGA [используя Verilog]. Для фиксированной частоты я могу сделать sinc с помощью LUT на ПЗУ, но мне нужно дать...
1792 просмотров
schedule 03.10.2023

Избавление от нарушения времени удержания (Xilinx HDL)
Я внедряю контроллер DSO в FPGA, и у меня возникают проблемы со слишком большим количеством нарушений времени удержания (пока лучший результат P&R был 3 ошибки времени удержания где-то около -2 нс). Суть моей проблемы в том, что у меня есть буфер...
5476 просмотров
schedule 23.08.2022

Преобразование из SystemC в VHDL или Verilog
Я разработал схему, используя библиотеку RTL SystemC. Эта схема работает нормально, и я могу правильно смоделировать ее. Теперь я хочу развернуть его в FPGA и ищу способ преобразовать мой код SystemC в VHDL или Verilog, чтобы использовать его в...
1821 просмотров
schedule 23.04.2023

как создать clocksignal для моего fpga
Мой вопрос просто в том, что у меня есть часы с частотой 200 МГц на моей плате Xilinx sp605, и, поскольку мой дизайн может работать только на частоте 100 МГц, я хочу, чтобы входные часы были 100 МГц, поэтому для достижения этого: мне нужно просто...
2121 просмотров
schedule 19.03.2023

Verilog проблемы со старыми ценностями
Я почти закончил реализацию 5-этапного конвейерного процессора Mips на плате FPGA (стартовый комплект Spartan 3E). Но у одного модуля есть критическая проблема. Эта проблема заключается в том, что когда mMdule принимает данные из входного сигнала,...
203 просмотров
schedule 18.12.2023

Внедрение ПЗУ в xilinx (vhdl)
Я пытаюсь реализовать модуль ПЗУ и построил для него тестовый стенд. Синтаксис проверки для rom.vhd показывает «правильно», и он также показывает «правильно» файл тестового стенда, но когда я нажимаю на simluate, он показывает некоторую ошибку....
17109 просмотров
schedule 21.03.2023

Что именно означает регистры, доступные для программного обеспечения, при добавлении пользовательского IP-адреса в Xilinx?
Может ли кто-нибудь дать мне более глубокое понимание того, что именно означают регистры, доступные для программного обеспечения? Я понимаю, что, поскольку эти регистры отображаются в памяти, вы можете отправлять через них данные, к которым может...
190 просмотров
schedule 16.08.2022